Устройство синхронизации сигналов

Автор работы: Пользователь скрыл имя, 04 Марта 2014 в 14:40, курсовая работа

Описание работы

Анализ технического задания
Формализация описания конечного автомата (граф автомата, таблицы переходов и выходов)
Минимизация памяти абстрактного автомата
Выбор способа противогоночного кодирования
Противогоночное кодирование состояний автомата
Формирование функций выходов и функций возбуждения памяти автомата
Минимизация функций выходов и функций возбуждения памяти автомата, отображение результатов на картах Карно.
Составление логической схемы устройства
Выбор метода обеспечения контролепригодности и преобразование схемы устройства
Выбор элементной базы
Преобразование схемы устройства с учетом выбранной элементной базы
Разработка принципиальной схемы устройства
Расчет основных параметров устройства (время переключения, потребляемая мощность, время наработки на отказ)
Моделирование работы устройства или составление временной диаграммы его работы, анализ правильности функционирования
Оценка степени выполнения задания

Файлы: 7 файлов

Document1.tdd

— 2.22 Кб (Скачать файл)

Output.xps

— 37.40 Кб (Скачать файл)

Thumbs.db

— 14.00 Кб (Скачать файл)

~$ЛТИЙСКИЙ ГОСУДАРСТВЕННЫЙ ТЕХНИЧЕСКИЙ УНИВЕРСИТЕТ.docx

— 162 байт (Просмотреть файл, Скачать файл)

БАЛТИЙСКИЙ ГОСУДАРСТВЕННЫЙ ТЕХНИЧЕСКИЙ УНИВЕРСИТЕТ.docx

— 287.02 Кб (Скачать файл)




Время наработки на отказ конечного автомата при этом составит: Тотк=106/0.32 = 3125000 ч.

 

14) Моделирование  работы устройства или составление  временной диаграммы его работы,  анализ правильности функционирования

 

 

В результате получаем массив входных сигналов проверяющего теста:

0

1

2

3

4

5

6

7

8

9

10

11

12

13

14

15

16

17

18

19

20

21

22

23

24

25

26

27

28

29

30

31

32

X2

0

1

0

1

0

1

1

0

1

0

1

1

0

1

0

1

0

0

1

0

1

0

1

0

0

1

0

0

0

1

0

1

0

X1

0

1

1

1

1

1

0

0

0

0

1

0

0

0

0

0

0

1

1

1

1

1

1

1

0

0

0

1

0

0

0

0

0

Y

0

0

0

1

1

0

0

0

0

0

0

0

0

1

1

0

0

0

0

1

0

0

0

0

0

0

0

0

0

0

1

0

0


 

 

 

 

 

 

 

 

 

Проверим полноту разработанного теста:

Переход

Входной сигнал

Номер отсчета

1

q0 - q0

X0 =00

0,7,9,16,24,26,32

2

q0 - q0

X1 =01

6,8,15,25,31

3

q0 - q1

X2 =10

17,27

4

q0 - q5

X3 =11

1,10

5

q1 - q1

X0 =00

28

6

q1 - q2

X1 =01

29

7

q1 - q1

X2 =10

17,27

8

q1 - q2

X3 =11

18

9

q2 - q3

X0 =00

30

10

q2 - q2

X1 =01

29

11

q2 - q3

X2 =10

19

12

q2 - q2

X3 =11

18

13

q3 - q3

X0 =00

14,30

14

q3 - q0

X1 =01

15,31

15

q3 - q3

X2 =10

4,19

16

q3 - q4

X3 =11

5,20

17

q4 - q0

X0 =00

24

18

q4 - q0

X1 =01

6

19

q4 - q4

X2 =10

21,23

20

q4 - q4

X3 =11

5,20,22

21

q5 - q6

X0 =00

12

22

q5 - q5

X1 =01

11

23

q5 - q6

X2 =10

2

24

q5 - q5

X3 =11

1,10

25

q6 - q6

X0 =00

3,12

26

q6 - q7

X1 =01

13

27

q6 - q6

X2 =10

2

28

q6 - q7

X3 =11

3

29

q7 - q3

X0 =00

14

30

q7 - q7

X1 =01

13

31

q7 - q3

X2 =10

4

32

q7 - q7

X3 =11

3


 

 

Моделирование работы устройства проведем в программе ''Pascal ABC" на языке Pascal. Программа:

program model; 
var 
y1,y2,y3,Q1,Q2,Q3,Q4,NQ1,NQ2,NQ3,NQ4,i,J1,SQ1,SQ2,SQ3,SQ4,NX2,NX1,S1,S2,S3,S4,R1,R2,R3,R4,R11,R12,R13,R14,S31,S32,S33,R31,S41,S42,R41:integer; 
xx1,xx2,X1,X2,Y: array [0..32] of integer; 
function NAND2(var V1,V2:integer):integer; 
begin 
if v1*v2=1 then 
NAND2:=0 
else 
NAND2:=1; 
end; 
function NAND3(var v1,v2,v3:integer):integer; 
begin 
if v1*v2*v3=1 then 
NAND3:=0 
else 
NAND3:=1; 
end; 
function NAND4(var v1,v2,v3,v4:integer):integer; 
begin 
if v1*v2*v3*v4=1 then 
NAND4:=0 
else 
NAND4:=1; 
end; 
function NON(var v1:integer):integer; 
begin 
if v1=1 then 
NON:=0 
else 
NON:=1; 
end; 
function RS(var v1,v2,v3:integer):integer; 
begin 
if (v1=1) and (v2=1) then 
RS:=v3 
else 
if v1+v2=0 then 
begin 
writeln('oshibka'); 
end 
else 
if v1=0 then 
RS:=1 
else 
if v2=0 then 
RS:=0; 
end; 
label 
l1,l2,l3,l4; 
begin 
cls; 
WRITELN; 
xx1[0]:=0;xx1[1]:=1;xx1[2]:=1;xx1[3]:=1;xx1[4]:=1;xx1[5]:=1;xx1[6]:=0;xx1[7]:=0;xx1[8]:=0; 
xx1[9]:=0;xx1[10]:=1;xx1[11]:=0;xx1[12]:=0;xx1[13]:=0;xx1[14]:=0;xx1[15]:=0;xx1[16]:=0; 
xx1[17]:=1;xx1[18]:=1;xx1[19]:=1;xx1[20]:=1;xx1[21]:=1;xx1[22]:=1;xx1[23]:=1;xx1[24]:=0; 
xx1[25]:=0;xx1[26]:=0;xx1[27]:=1;xx1[28]:=0;xx1[29]:=0;xx1[30]:=0;xx1[31]:=0;xx1[32]:=0; 
xx2[0]:=0;xx2[1]:=1;xx2[2]:=0;xx2[3]:=1;xx2[4]:=0;xx2[5]:=1;xx2[6]:=1;xx2[7]:=0; 
xx2[8]:=1;xx2[9]:=0;xx2[10]:=1;xx2[11]:=1;xx2[12]:=0;xx2[13]:=1;xx2[14]:=0;xx2[15]:=1; 
xx2[16]:=0;xx2[17]:=0;xx2[18]:=1;xx2[19]:=0;xx2[20]:=1;xx2[21]:=0;xx2[22]:=1;xx2[23]:=0; 
xx2[24]:=0;xx2[25]:=1;xx2[26]:=0;xx2[27]:=0;xx2[28]:=0;xx2[29]:=1;xx2[30]:=0;xx2[31]:=1; 
xx2[32]:=0; 
Q1:=1;Q2:=0;Q3:=0;Q4:=0; 
NQ1:=0;NQ2:=1;NQ3:=1;NQ4:=1; 
for i:=0 to 32 do 
begin 
J1:=0; 
l1: 
SQ1:=Q1;SQ2:=Q2;SQ3:=Q3;SQ4:=Q4; 
X1[i]:=xx1[i]; 
NX1:=NON(x1[i]); 
X2[i]:=xx2[i]; 
NX2:=NON(x2[i]); 
//Моделирование автомата******** 
S1:=NAND2(NQ2,X2[i]); 
R11:=NAND2(NX2,Q3); 
R12:=NAND2(X2[i],NQ4); 
R13:=NAND2(NX2,NX1); 
R14:=NAND2(NQ2,Q4); 
R1:=NAND4(R11,R12,R13,R14); 
S2:=NAND2(NQ4,X1[i]); 
R2:=NAND3(NX2,NQ1,Q4); 
S31:=NAND2(NX1,Q1); 
S32:=NAND2(X2[i],NQ1); 
S33:=NAND2(NX2,NQ4); 
S3:=NAND3(S31,S32,S33); 
R31:=NAND2(NX2,NQ1); 
R3:=NAND3(NX1,NQ2,R31); 
S41:=NAND2(NX2,NQ3); 
S42:=NAND2(X2[i],Q1); 
S4:=NAND3(NQ4,S41,S42); 
R41:= NAND2(NX2,NQ1); 
R4:=NAND3(NX1,NQ2,R41); 
//******************************** 
Q1:=RS(S1,R1,SQ1); 
Q2:=RS(S2,R2,SQ2); 
Q3:=RS(S3,R3,SQ3); 
Q4:=RS(S4,R4,SQ4); 
NQ1:=NON(Q1); 
NQ2:=NON(Q2); 
NQ3:=NON(Q3); 
NQ4:=NON(Q4); 
y1:=NAND3(NX2,NQ1,Q4); 
y2:=NAND4(X2[i],Q2,Q3,Q4); 
Y[i]:=NAND2(y1,y2); 
writeln(i,' ',J1,' ',X1[i], X2[i], Y[i],Q1,S1,R1,Q2,S2,R2,Q3,S3,R3,Q4,S4,R4); 
if (SQ1=Q1) and (SQ2=Q2) and (SQ3=Q3) and (SQ4=Q4) then 
goto l2; 
J1:=J1+1; 
if J1>2 then writeln(i,J1,Y[i],Q1,Q2,Q3,Q4,X1[i], X2[i]); 
if J1>3 then 
goto l3 else goto l1; 
l2: 
end; 
goto l4; 
l3: 
writeln('Ошибка'); 
l4: 
write('X2: '); 
for i:=0 to 32 do write(X2[i]); 
writeln; 
write('X1: '); 
for i:=0 to 32 do write(X1[i]); 
writeln; 
write('Y: '); 
for i:=0 to 32 do write(Y[i]); 
writeln; 
end.

 

 

Результаты работы:

По результату работы автомата можно сделать вывод, что он функционирует правильно.

15) Оценка степени  выполнения задания

Параметр

Требование ТЗ

Полученное значение

Оценка степени выполнения

1

Тактовая частота

0,75 МГц

0,75 МГц

Выполнено

2

Потребляемая мощность

Не более 140 мВт

89 мВт

Выполнено

3

Наработка на отказ

Не менее 1000000 ч.

3125000 ч

Выполнено

4

Срок эксплуатации

15 лет

15 лет

Выполнено


Из таблицы видно, что все требования технического задания на проектирование конечного автомата выполнены в полном объеме.

 

 

 

 

 

 

 

 

 

Список использованной литературы

 

1. «Синтез конечных автоматов», пособие по курсовому проектированию.  О.Н Музыченко, СПб, БГТУ 2010.

2.«Универсальные методы синтеза логических схем. Часть 1.»   О.Н. Музыченко, СПб,  БГТУ 2005.

3. «Методы синтеза конечных автоматов», учебное пособие. О. Н. Музыченко, СПб, БГТУ 2012.

4. «Универсальные методы синтеза логических схем. Часть 2.»   О.Н. Музыченко, СПб,  БГТУ 2005.

5. «Логические ИС серий КР1533 и КР1554»    И.И.Петровский.

6. «Цифровые и аналоговые интегральные микросхемы» Якубовский С. В., Ниссельсон Л. И. и др. Москва, Издательство Радио и связь, 1990

 


Безымянный.png

— 38.65 Кб (Скачать файл)

Безымянный2.png

— 26.04 Кб (Скачать файл)

Информация о работе Устройство синхронизации сигналов