Делитель частоты

Автор работы: Пользователь скрыл имя, 04 Декабря 2013 в 07:39, курсовая работа

Описание работы

Гигантское количество логических элементов, необходимое для построения современной вычислительной машины, привели к необходимости миниатюризации элементной базы. Так возникла микроэлектроника, технологические возможности которой позволяют на сегодняшнем уровне ее развития размещать на одном кристалле полупроводника сотни и более активных и пассивных радиоэлементов. Появление логических интегральных микросхем, первоначально предназначенных для создания вычислительных машин, отразилось на принципах конструирования других видов электронной аппаратуры: радио и проводной связи, радиолокации, измерительной техники и т. п. Цифровые (логические) принципы стали частично или полностью вытеснять аналоговые [1].

Файлы: 1 файл

АЛЬБИНА ДЕЛИТЕЛЬ 1731.docx

— 1.57 Мб (Скачать файл)

Министерство образования и  науки Российской Федерации

Федеральное государственное бюджетное образовательное  учреждение высшего

профессионального образования

«НАЦИОНАЛЬНЫЙ ИССЛЕДОВАТЕЛЬСКИЙ  

ТОМСКИЙ ПОЛИТЕХНИЧЕСКИЙ УНИВЕРСИТЕТ»

 

Институт   -   Физико-технический

Направление (специальность)   -  Ядерные физика и технологии

Кафедра - Физико-энергетические установки

 

 

 

 

 

 

 

Курсовой  проект по курсу «Электроника»

«Делитель частоты»

 

 

 

 

 

 

 

 

 

 

 

 

 

Студент     гр.0А15             ____________________                 Ревенко А.Е.

(подпись)

    ____________________

(дата)

Руководитель            _____________________                Шарнин  А.В.

    ассистент        (подпись)                          

кафедры ЭАФУ             ____________________

(дата)

 

 

 

 

 

 

Томск -  2013

Министерство  образования и науки Российской Федерации

Государственное образовательное учреждение высшего  профессионального образования

«НАЦИОНАЛЬНЫЙ ИССЛЕДОВАТЕЛЬСКИЙ ТОМСКИЙ ПОЛИТЕХНИЧЕСКИЙ  УНИВЕРСИТЕТ»

 

Кафедра ЭАФУ

                                                                                          УТВЕРЖДАЮ

Заведующий

кафедрой  ЭАФУ

_________С.Н.  Ливенцов

« 22 ”    февраля      2013

 

                                                  ЗАДАНИЕ

на выполнение курсового проекта по курсу «Электротехника  и электроника»

Студенту  группы 0А15    Ревенко А.Е.

  1. Тема курсового проекта Разработка электрической принципиальной схемы делителя частоты
  2. Последний срок сдачи студентом готового проекта 31 мая 2013 г.
  3. Исходные данные к проекту
    1. Входной сигнал: импульсы ТТЛ, максимальная частота следования импульсов 4 МГц, скважность 9, длительность фронта импульса  ≥ 10 нс.
    2. Выходные сигналы: двоичный код и синхросигнал, логика КМОП.
    3. Коэффициент деления разрабатываемого устройства: 1731.
    4. Необходимо обеспечить гальваническую развязку входного сигнала.
    5. Питание разрабатываемого устройства должно осуществляться от сети переменного тока 220 В, 50 Гц.
  4. Содержание текстового документа должно удовлетворять действующим ГОСТам, стандарту организации и содержать следующие разделы:
    1. Обзор литературы по теме проекта.
    2. Разработка и обоснование функциональной схемы устройства.
    3. Разработка полной принципиальной схемы устройства.
    4. Выбор элементной базы.
    5. Расчет элементов принципиальной схемы устройства.
  5. Перечень графического материала:
    1. Функциональная схема устройства.
    2. Полная электрическая принципиальная схема устройства.
    3. Перечень элементов.

 

  1. Дата выдачи на выполнение курсового проекта 22 февраля 2013 г.

Руководитель

ассистент кафедры ЭАФУ ________________ А.В. Шарнин

 

22 февраля 2013 г.

Задание принял

к исполнению   ________________ ______________

(подпись)   (Ф.И.О.)

 

________________

(дата)

 

Реферат

Курсовой проект 26 с., 16 рис., 8 табл., 10 источников, 3 прил.

Ключевые  слова: СЧЕТЧИК, ОПТОПАРА, УСИЛИТЕЛЬ, КОЭФФИЦИЕНТ ДЕЛЕНИЯ, ТРАНСФОРМАТОР, ВЫПРЯМИТЕЛЬ ТОКА, ФИЛЬТР, СТАБИЛИЗАТОР.

Заданием является разработка и  обоснование функциональной и принципиальной схемы устройства, подборка и расчет элементов принципиальной схемы.

Цель работы - создать делитель частоты с коэффициентом деления 1731, удовлетворяющий требованиям технического задания.

В процессе работы рассмотрен делитель частоты, разработаны функциональная и принципиальная схемы, произведены расчеты блока питания и других устройств  принципиальной схемы.

Результатом работы является делитель частоты с коэффициентом деления сигнала - 1731 и блок питания, работающий от сети 220 В. 

Оглавление

Задание 2

Реферат 4

Введение 6

1 Обзор литературы 7

2 Разработка функциональной схемы 11

3 Разработка полной принципиальной схемы 12

4 Расчет и выбор элементов принципиальной схемы устройства 17

4.1 Расчет  источника питания для счетчика 20

4.2 Расчет  источника питания для гальванической  развязки и операционного усилителя 24

4.3 Расчет  остальных устройств принципиальной  схемы 28

Заключение………………………………………………………………………….29

 

Список используемой литературы………………………………………………...30

 

Приложение А. Полная функциональная схема………………………………….31

 

Приложение Б. Принципиальная схема  делителя частоты……………………...32

 

Приложение В. Принципиальная схема  блока питания…………………………33

 

Приложение Г. Спецификация…………………………………………………….34

 

 

 

Введение

Делители  частоты в настоящее время  получили очень широкое распространение  в самых разнообразных видах  радиоэлектронной аппаратуры.

Синтезаторы частоты, кварцевые и атомные  часы, телевизионные устройства синхронизации  генераторов развёрток, частотомеры  и др.– вот далеко не полный перечень областей применения делителей частоты.

Появление первых разработок делителей частоты  относится к 20-м годам XX века.

В электронике  и электротехнике делитель частоты  – это электронное устройство, уменьшающее в целое число  раз частоту подводимых к нему периодических колебаний.

Гигантское  количество логических элементов, необходимое  для построения современной вычислительной машины, привели к необходимости  миниатюризации элементной базы. Так  возникла микроэлектроника, технологические  возможности которой позволяют  на сегодняшнем уровне ее развития размещать на одном кристалле  полупроводника сотни и более  активных и пассивных радиоэлементов. Появление логических интегральных микросхем, первоначально предназначенных  для создания вычислительных машин, отразилось на принципах конструирования  других видов электронной аппаратуры: радио и проводной связи, радиолокации, измерительной техники и т. п. Цифровые (логические) принципы стали  частично или полностью вытеснять  аналоговые [1].

 

1 Обзор литературы

Делителем частоты называется электронное  устройство, уменьшающее в целое  число раз частоту подводимых к нему периодических колебаний.

Цифровые последовательные устройства, выполненные по схеме счетчика, но имеющие один счетный вход и один выход называются делителями частоты. Иными словами, делитель частоты  есть электронное устройство, реализующее  функцию:


(1)

 

 

где fвх и Твх - частота и период входного сигнала; fвых – частота выходного сигнала делителя; К– коэффициент деления.

Основной  параметр – коэффициент деления  частоты К, определяемый как отношение частоты входного сигнала к частоте выходного:

 

Коэффициент деления К может иметь постоянное или переменное значение.

Цифровой делитель частоты —  это счетное устройство, формирующее  на выходе импульсную последовательность с частотой в N раз меньшей, чем частота следования входных импульсов [2].

Для построения делителей частоты с требуемым  коэффициентом деления используется комбинация соответствующего числа  двоичных разрядов с нужным числом прямых, дополнительных обратных прямых связей . Прямой называют такую связь, которая осуществляется между выходом разряда с номером i-1 и одним из входов разряда с номером большего i-го. Обратными называют такие связи, которые осуществляются от последующих к предыдущим разрядам (рисунок 1).

 

Рисунок 1 – Схема осуществления связей между разрядами

Под импульсом будем понимать потенциальный  уровень логической 1, а под паузой - уровень логического 0. Для построения счетного устройства, работающего в двоичной, десятичной и других системах счисления, применяются двоичные (бинарные) элементы, количество которых определяется числом разрядов коэффициента деления, выраженного в двоичной системе счисления.

Процесс поступления импульсов  на вход делителя непрерывен, что приводит к непрерывному поочерёдному изменению  состояний всех разрядов. Количество устойчивых состояний делителя равно  его коэффициенту деления. В качестве разрядов используются триггеры различных  типов. Наиболее широкое применение находят комбинированные триггеры. В ряде случаев используются RS- и  Т-триггеры.

В зависимости от способа запуска  двоичных разрядов различают асинхронные, синхронные и комбинированные делители частоты.

В асинхронных делителях первый разряд переключается входной импульсной последовательностью, а все последующие  разряды переключаются от предыдущих (рисунок 2).

 

Рисунок 2 – Схема запуска двоичных разрядов асинхронного делителя

В синхронных делителях входная импульсная последовательность поступает на все разряды одновременно (рисунок 3).

 

 

Рисунок 3 – Схема запуска двоичных разрядов синхронного делителя

 

Комбинированные делители частоты представляют собой  совокупность асинхронных и синхронных делителей (рисунок 4).

 

 

Рисунок 4 – Схема запуска двоичных разрядов комбинированного делителя

 

Для построения делителей с большими коэффициентами деления можно использовать делители с малыми коэффициентами деления  путем их соответствующего соединения. При этом различают последовательное параллельное и смешанное соединения делителей.

При последовательном соединении выход  одного делителя подключают к входу  второго, выход второго к входу  третьего и т.д. (рисунок 5).

 

 

Рисунок 5 – Схема последовательного соединения делителей

В этом случае общий коэффициент  деления равен произведению коэффициентов деления всех делителей Кд1д2,…Кдn. Существенным недостатком последовательного соединения делителей является накапливание времени запаздывания выходных импульсов относительно входных.

Основными характеристиками делителей  являются коэффициент деления (Кд), быстродействие делителя (fвх.мах), потребляемая мощность (Р).

Быстродействие делителя характеризуется  максимальной (предельной) частотой следования импульсов на входе делителя, при которой сохраняется его работоспособность, и зависит от быстродействия используемых триггеров и способов их соединения и запуска [3].

Элемент DD1 представляет собой микросхему-делитель частоты, содержащую один или несколько  триггеров (рисунок 6).

 

Рисунок 6 – Принципиальная схема делителя частоты на триггерах

 

Потребляемая мощность (Р) делителя характеризует его экономичность и определяется потребляемой мощностью используемых элементов и их количеством. При проектировании делителей частоты необходимо учитывать, что триггеры с меньшим быстродействием потребляют меньшую мощность и в тех случаях, когда это, возможно, следует использовать триггеры с меньшим быстродействием [4].

 

2 Разработка  функциональной схемы

Делители частоты, как правило, не являются функционально самостоятельными устройствами. Они входят в состав частотомеров, часов, синтезаторов частоты  и др.

Структурная схема делителя частоты представлена на рисунке 7. Она включает в себя блоки счетчика, гальванической развязки и выходного усилителя и блок питания, обеспечивающий все устройства требуемым питанием постоянного уровня напряжения.

Рисунок 7 – Структурная схема

Функционально, устройство состоит  из двух частей: блок питания и делитель частоты. Функциональная схема делителя частоты изображена на рисунке 8. Он состоит из блока деления частоты, гальванической развязки и операционного усилителя.

Рисунок 8 – Функциональная схема  делителя частоты

Функциональная схема блока  питания изображена рисунке 9. Он состоит понижающего трансформатора, выпрямителя (диодный мост), фильтра низких частот и стабилизатора. В совокупности это должно обеспечивать стабильное питание интегральных микросхем и операционного усилителя.

 

Рисунок 9 – Функциональная схема блока  питания

Полная функциональная схема приведена  в приложении А.

 

3 Разработка  полной принципиальной схемы

Из источника [7] известно, что при синхронном подключении счетчиков можно реализовать делитель частоты с произвольно большим коэффициентом деления. Подобная схема приведена на рисунке 10. В нашем задании необходимо разработать делитель частоты с коэффициентом деления 1731. Для задания этого коэффициента достаточно трех счетчиков, объединенных в один блок. Принципиальная схема приведена на рисунке 11.

Рисунок 10 – Принципиальная схема соединения счетчиков

Данная схема была получена в  результате анализа функциональных возможностей схемы представленной на рисунке 10. В качестве микросхемы четырехразрядного счетчика выберем К1533ИЕ10, которая обладает входами предустановки D0-D3. Условное графическое изображение этой микросхемы приведено на рисунке 12. Меняя предустановленное значение каждого счетчика, мы можем варьировать суммарный коэффициент деления от 2 до 4096.

Информация о работе Делитель частоты