Проектирование процессорного модуля

Автор работы: Пользователь скрыл имя, 06 Июня 2013 в 21:06, курсовая работа

Описание работы

Процессорный модуль представляет собой функционально законченное устройство со встроенной функцией отладки целевого программного обеспечения. Он содержит разъемы, на которые выведены все контакты микропроцессора. Процессорный модуль обеспечивает корректный старт и инициализацию микропроцессора после аппаратного сброса. Он может функционировать под управлением инструментального компьютера или без него. Взаимодействие инструментального компьютера и процессорного модуля осуществляется посредством отладочного интерфейса.

Содержание работы

ВВЕДЕНИЕ 7
1 ПОСТАНОВКА ЗАДАЧИ ПРОЕКТИРОВАНИЯ 9
2 ПРОЕКТИРОВАНИЕ ОПЕРАЦИОННОГО АВТОМАТА 12
3 ПРОЕКТИРОВАНИЕ УПРАВЛЯЮЩЕГО АВТОМАТА 19
4 ПРОЕКТИРОВАНИЕ ПРОЦЕССОРНОГО МОДУЛЯ 25
ВЫВОДЫ 28
ПЕРЕЧЕНЬ ССЫЛОК 29

Файлы: 1 файл

Проектирование ОА класса I.doc

— 646.00 Кб (Скачать файл)

Министерство образования  и науки, молодежи и спорта Украины

Харьковский национальный университет радиоэлектроники

 

Факультет Компьютерной инженерии и управления

Кафедра Электронных вычислительных машин

 

 

 

 

 

курсовой ПРОЕКТ

пояснительная ЗАПИСКА

 

Проектирование процессорного модуля

 


(тема проекта)

 

 

 

 

Студент

КИ-09-5

 
 

(группа)

(фамилия,  инициалы)

Руководитель проекта

 
 

(должность,  фамилия, инициалы)


 

 

         

(баллы)

       
         
   

(подпись)

 

(фамилия,  инициалы)


 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

2012 г.

Харьковский национальный университет радиоэлектроники

(название  вуза)

Факультет

КИУ

Кафедра

АПВТ

Специальность

Специализированные компьютерные системы


 

 

ЗАДАНИЕ

НА курсовой ПРОЕКТ

 

студентке

 

(фамилия,  имя, отчество)

1. Тема проекта:

Проектирование процессорного  модуля

 
 

2. Срок сдачи студентом проекта:

15.05.2012

 

3. Исходные данные к проекту:

В качестве исходных данных даны 2 операции

  1. Умножение целых двоичных беззнаковых чисел, начиная со старших разрядов

множителя

  1. Умножения целых двоичных знаковых чисел
 

3) Операционный автомат класса  I

4) Управляющий автомат с жесткой  логикой типа мура

 

4. Содержание пояснительной записки  (перечень вопросов, которые необходимо 

рассмотреть)

 

1) Постановка задачи

2) Проектирование ОА

      3)Проектирование  УА

4)Проектирование процессорного  модуля

 
 
 
 
 
 
 
 

5. Дата выдачи задания:

01 февраля 2012г.

   
 

Руководитель проекта

     
   

(подпись)

 

(должность,  фамилия, инициалы)

 

 

Задания принял к выполнению

   
   

(подпись)

 

 

КАЛЕНДАРНыЙ ПЛАН

 

 

№ п/п

Название этапов курсового проекта

Сроки выполнения этапов проекта

(начало-окончание)

Примечание

1

Получение задания на курсовой проект. Консультация

   

2

Постановка задачи и  анализ литературных источников

   

3

Построение управляющего автомата

   

4

Построение операционного автомата

   

5

Проектирование процессорного  модуля

   

6

Оформление пояснительной  записки

   

7

Защита курсового проекта

   
       
       
       
       
       

 

 

 

 

Студент    _____________

(подпись)

 

Руководитель проекта _____________

(подпись)

 

 

  • реферат

 

Пояснительная записка содержит   22 страницы, 4 части, 8 ссылок.

Целью данного курсового  проектирования является разработка процессорного  модуля на основе построения управляющего и операционного автомата.

В результате выполнения проектирования получены принципиальная схема управляющего автомата и функциональная схема операционного автомата.

Ключевые слова: УПРАВЛЯЮЩИЙ АВТОМАТ, ОПЕРАЦИОННЫЙ АВТОМАТ, ФУНКЦИОНАЛЬНАЯ СХЕМА, ПРИНЦИПИАЛЬНАЯ СХЕМА, АРИФМЕТИЧЕСКИЕ ОПЕРАЦИИ, МИКРОКОМАНДА.

 

 

 

 

 

 

 

 

 

 

 

 

ABSTRACT

Explanatory Note contains of  22 pages, 4 parts, 8 source of literature.

The purpose of this course is to develop the design of the processor module based on building the operating  and the control automaton.

As a result of the design were obtained schematic diagram of a control automaton  and the functional diagram of an operating automaton.

Keywords: CONTROL AUTOMATON, OPERATING AUTOMATON, FUNTIONAL DIAGRAMM, SCHEMATIC DIAGRAMMS, ARITHMETIC OPERAYIONS, MICROCOMMANDS.

 

 

 

 

 

 

 

 

 

 

 

 

СОДЕРЖАНИЕ

 

ВВЕДЕНИЕ 7

1 ПОСТАНОВКА ЗАДАЧИ  ПРОЕКТИРОВАНИЯ 9

2 ПРОЕКТИРОВАНИЕ ОПЕРАЦИОННОГО  АВТОМАТА 12

3 ПРОЕКТИРОВАНИЕ УПРАВЛЯЮЩЕГО  АВТОМАТА 19

4 ПРОЕКТИРОВАНИЕ ПРОЦЕССОРНОГО  МОДУЛЯ 25

ВЫВОДЫ 28

ПЕРЕЧЕНЬ ССЫЛОК 29

 

 

 

 

 

 

 

 

 

 

 

 

 

ВВЕДЕНИЕ

 

Процессорный модуль представляет собой функционально законченное устройство со встроенной функцией отладки целевого программного обеспечения. Он содержит разъемы, на которые выведены все контакты микропроцессора. Процессорный модуль обеспечивает корректный старт и инициализацию микропроцессора после аппаратного сброса. Он может функционировать под управлением инструментального компьютера или без него. Взаимодействие инструментального компьютера и процессорного модуля осуществляется посредством отладочного интерфейса.

Модуль не содержит ничего необычного для микропроцессорной  системы и использует только те свойства микропроцессора, которые являются общими для большинства из них. Благодаря  этому достигается независимость  структуры модуля от выбора микропроцессора. Разработчику предлагается строить целевую микропроцессорную систему на основе процессорного модуля со встроенными функциями отладки и начальной загрузки.

Метод проектирования микропроцессорной системы с  использованием отладочного процессорного  модуля позволяет ускорить и удешевить процесс разработки целевой системы. Использование метода позволяет обойтись без затрат связанных с приобретением внутрисхемного эмулятора, эмулятора ПЗУ и программной модели микропроцессора, упростить технологию разработки и отладки частей целевой системы связанных непосредственно с микропроцессором. Пользователь приобретает сразу часть целевой системы со встроенными функциями отладки и диагностики.

В процессе выполнения данного курсового проекта будет  осуществлено проектирование процессорного модуля - независимого устройства, которое может выполнять два заданных действия над операндами. Результатом проекта будет схема устройства, отвечающего требованиям к системам обработки информации.

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

1 ПОСТАНОВКА  ЗАДАЧИ ПРОЕКТИРОВАНИЯ

 

В  данном курсовом проекте необходимо разработать  процессорный модуль на основе синтеза  управляющего автомата и операционного  автомата. Необходимо построить управляющий  автомат Мура и операционный автомат  класса I для устройств умножения  целых двоичных беззнаковых чисел, начиная со старших разрядов множителя  и умножения целых двоичных знаковых чисел

 

1.1 Операционный автомат

 

Операционный  автомат класса I обладает  структурой,  которая   обеспечивает   возможность   одновременного выполнения  всех   функционально   совместимых   микроопераций   при  использовании  минимально  возможного  числа  комбинационных  схем

Основными характеристиками  операционных автоматов являются :

1.   производительность 

2.   быстродействие 

3.   затраты  оборудования 

Производительность   автоматов  зависит  от   степени  обобщения   комбинационных схем,  т . е.  чем  выше степень обобщения ,  тем  ниже производительность .

Особенностью  I- автоматов   является   то ,  что  каждый  регистр обслуживается  своей комбинационной схемой. Недостатком I-автомата   является   большая   разрядность  шины  и возможное   наличие  нескольких   комбинационных  схем,  которые   реализуют  эквивалентные микрооперации, относящиеся  к  разным регистрам.

Структура операционного автомата класса I представлена на рисунке 1.1.

Рисунок 1.1 - Структура операционного  автомата класса I

 

1.2 Управляющий автомат  Мура

 

Управляющий автомат задает порядок выполнения действий в операционном автомате,  который   определяется принятым алгоритмом выполнения операций. Операторная схема алгоритма наиболее часто представляется в виде граф-схемы или логической схемы алгоритма.

Функционирование автомата Мура задается уравнениями 

a(t+1)= d[a(t), x(t)];

y(t)=l2[a(t)];

t=0,1,2,….

У автомата Мура функция выходов  зависит только от внутреннего состояния. Поэтому, как только автомат попадает в определенное состояние, тут же формируется выходной сигнал, соответствующий  данному состоянию. На графе это  отмечается расположением выходных сигналов в вершинах состояний после наклонной или дробной черты.

1.3 Разработка ГСА

 

Объединенная ГСА для выполнения операций умножения целых двоичных беззнаковых чисел, начиная со старших  разрядов множителя  и умножения  целых двоичных знаковых чисел приведена на рисунке 1.2.

Рисунок 1.2 –  Содержательная ГСА операций умножения  целых двоичных беззнаковых и  знаковых чисел.

2 ПРОЕКТИРОВАНИЕ  ОПЕРАЦИОННОГО АВТОМАТА

 

2.1. Для проектирования операционного  автомата запишем список микроопераций  и список условий переходов.

  1. Список микроопераций и операторов условного перехода:

Y1: A(n-1:0) := D1;

Y2: B(n-1:0) := D2;

Y3: C(2n-1:0) := 0;

Y4: C(2n-1:0) := L1(C(2n-2:0).0);

Y5: C(2n-1:0) := C(2n-1:0)+A(n-1:0);

Y6: B(n-1:0):=L1(B(n-2:0).0);

Y7: CnT := CnT-1;

Y8: R(2n-1:0):=C(2n-1:0);

Y9: C(n-1:0) := C(n-1:0)+A(n-1:0);

Y10: TgB:=B(0);

Y11: B:=R1(C(0).B(n-1:1));

Y12: C(n-1:0) := R1(CF.C(n-1:1));

Y13: C(n-1:0) := R1(C(n-1).C(n-1:1));

Y14: C(n-1:0) := C(n-1:0)+A(n-1:0)+1;

Y15: R(2n-1:0) := C(n-1:0).B(n-1:0);

X0: F;

X1: B(n-1);

X2: CnT=0;

X3: B(0);

X4: OF;

X5: CnT=0;

X6: TgB;

  1. Определим максимальную разрядность регистров при n = 6:

A(2n-1:0), B(n-1:0), C(2n-1:0), CnT, TgB, R(2n-1:0);

3) Выполним разбиение  на подмножества микроопераций:

;

;

;

;

;

  1. Выполним разбиение множеств на классы эквивалентных микроопераций:

;

 

; ; ;

 

; ; ; ; ;

 

;

 

 

 

  1.    

 

 

 

 

  

 

 

 

 

 

 

 

 

 

 

2.2. Реализация микропрограммы  операционного автомата на VHDL.

Листинг 2.1 – Исходный код операционного  автомата класса I

library ieee;

use ieee.std_logic_1164.all;

use ieee.std_logic_unsigned.all;

 

 

entity OA is

generic (n: natural:=6);

port (clk, reset: in std_logic;

D1: in std_logic_vector(2*n-1 downto 0);

D2: in std_logic_vector(n-1 downto 0);

f: in std_logic;

R: out std_logic_vector(2*n - 1 downto 0);

y:in std_logic_vector(15 downto 1);

x:out std_logic_vector(6 downto 0) );

end OA;

---------------------------------------------------------------------------------- 

architecture OA of OA is

 

signal a,c: std_logic_Vector(2*n - 1 downto 0);

signal b: std_logic_Vector(n-1 downto 0);

signal cnt: std_logic_vector (2 downto 0);

signal Tgb: std_logic;

 

begin

process (clk, reset) is

begin

if (reset = '1') then

A <= (others => '0');

B <= (others => '0');

C <= (others => '0');

elsif (clk'event and clk = '1')then

-- -- начало формирования микроопераций для А

if (y(1) = '1') then A(n-1 downto 0)<= D1;

end if; 

 

-- -- начало формирования микроопераций для B

if (y(2) = '1') then

B(n-1 downto 0) <= D2;

elsif (y(6) = '1') then

B(n-1 downto 0) <= B(n-2 downto 0) & '0';

elsif (y(11) = '1') then

B <= C & B(n-1 downto 1);

end if;

 

-- начало формирования микроопераций  для C

if (y(3) = '1') then

C (2*n-1 downto 0) <= (others => '0');

elsif (y(4) = '1') then

C(2*n-1 downto 0) <= C (2*n-2 downto 2) &'0';

elsif (y(5) = '1') then

C(2*n-1 downto 0) <= IEEE.std_logic_unsigned."+"(C(2*n-1 downto 0),A(n-1 downto 0));

elsif (y(9) = '1') then

C(n-1 downto 0) <= IEEE.std_logic_unsigned."+"(C(n-1 downto 0),A(n-1 downto 0));

Информация о работе Проектирование процессорного модуля